facebook rss twitter

ARM Cortex-A75 and Cortex-A55 examined

by Tarinder Sandhu on 29 May 2017, 05:01

Tags: ARM

Quick Link: HEXUS.net/qadhy3

Add to My Vault: x

Cortex-A55

The ARM Cortex-A53 application processor has lead a charmed life since being announced in October 2012. It has been used in hundreds of millions of devices, as a standalone processor, or as part of a big.LITTLE setup when paired with the more powerful Cortex-A57. Chances are that many recent smartphones would have had the Cortex-A53, or a derivative built by Samsung or Qualcomm, as part of their make-up.

Built on the ARMv8-A architecture and therefore compatible with 32-bit and 64-bit processing, one could argue the Cortex-A53, in its various guises, has outstayed the welcome.

This preamble sets the scene for the second application processor to be launched by ARM today. Known as the Cortex-A55 and built using the same DynamIQ architecture as its bigger, speedier brother, the Cortex-A75, therefore using the newest ARMv8.2 architecture, ARM arguably considers it as the Cortex-A53 infused with steroids.

Because it is built using DynamIQ as a base - the shared L3 cache is moved into a what is known as a DynamIQ Shared Unit (DSU) - Cortex-A55 can either be used as an up-to eight-core standalone processor or paired with the Cortex-A75 in a big.LITTLE (DynamIQ) configuration.

So it's just the Cortex-A53 with some minor tweaks? The answer, according to ARM, is a resounding no.

During a tech day recently, ARM's engineers were at pains to say the Cortex-A55 is a different beast. The memory subsystem was the focus of particular improvement, with ARM claiming up to 2x the streaming bandwidth, and handy jumps in industry benchmarks such as SPEC and Geekbench when running at the same frequency and near-identical power levels as its predecessor.

And just to finish of the numbers' section before showing how Cortex-A55 improves from an architecture point of view, the new processor can do about the same work as a Cortex-A53 but, flipping it around, by using 15 per cent less power. This fact is important when, say, eight cores are running in a thin form factor mobile phone; Cortex-A55 should offer better sustained performance, which is crucial for applications such as virtual or mixed reality.

Again, it serves to think of Cortex-A55 as more than just a processor for mainstream smartphones. ARM says that efficiency is a key driver of design, meaning it can fit into thermally-limited networking and automotive products.

So what is it, from a design point of view, that ARM has done to improve performance whilst keeping power in check? Well, there are, as you would suspect, a number of tweaks employed.

The design remains an in-order, eight-stage pipelined processor but now there is a private L2 cache running at core speed, just like Cortex-A75, and this has the effect of reducing latency by more than 50 per cent. ARM has taken the time between mainstream Cortex-A-class processors to improve the branch predictor by now using learning neural network algorithms, and, as you know already, the L3 cache is shared from the DSU.

What's interesting is that having the unified L3 cache residing in the DSU really does help the Cortex-A55 along in many memory-sensitive applications, according to ARM, because this pool of memory was not available to the previous Cortex-A53, and it's one feature of the DynamIQ architecture that plays well with mainstream processors. However, in instances where it is not needed, the L3 can be fully powered down to save energy.

This new chip is also designed with machine learning in mind, the company says. The processor's NEON multimedia unit has a number of new instructions that help in this regard, from rounding double MAC instructions to combining fused multiply add (FMA) into a single step. What's more, FMA latency is reduced in half, as well.

Last but not least, in concert with the Cortex-A75, this mainstream processor now includes an array of RAS features, making it suitable for infrastructure and automotive markets.

Summary

The ARM Cortex-A55 is a thoroughly modern take on the popular Cortex-A53 that has been hugely successful in a number of different markets. Now with a much-improved memory subsystem and access to L3 via the all-new DSU made possible by being built on DynamIQ technology, Cortex-A55 also features improved NEON performance, a better branch predictor and a host of other features that tap into emerging workloads.

It is reasonable to assume that all the takers for Cortex-A53 will look at the Cortex-A55 with interest. Better in every measurable way thanks to a more modern design, it should work well as a standalone processor and as part of a DynamIQ big.LITTLE combination along with the Cortex-A75.

Don't be surprised if next year's $200 smartphone features Cortex-A55 technology.



HEXUS Forums :: 3 Comments

Login with Forum Account

Don't have an account? Register today!
Good thing there are ot graph scales on those last ones as they portray a 2X difference like it was 1000% better… Ridiculous.
Sunderas
Good thing there are ot graph scales on those last ones as they portray a 2X difference like it was 1000% better… Ridiculous.


<sigh> If I've told you once, I've told you a million times, “Stop exaggerating!”.

Looks more like 5X to me, pity to single out just one hardware maker though, they're all at it when the Marketing Dept. takes on a project.
Why this fixation on 8 cores? Only 8? why not more?