facebook rss twitter

ARM unveils Cortex-A73 CPU for next-gen smartphones

by Tarinder Sandhu on 30 May 2016, 04:00

Tags: ARM

Quick Link: HEXUS.net/qac3cj

Add to My Vault: x

Please log in to view Printer Friendly Layout

A different strategy

ARM has today launched the Cortex-A73 processor that is primed to appear in next-generation smartphones available to the public in early 2017. The Cortex-A73, built on a 10nm process at industry foundry partner TSMC, makes up one component of the 2017 Premium Mobile Suite, with the also-new Mali-G71 core providing the graphical counterpart.

All images provided by ARM

Cortex-A73 extends the performance potential of smartphones but takes a far greater focus on energy efficiency and core size than ever before. The reason for considering factors other than straight-line performance alone rests with the way in which smartphones are evolving and the increasing power requirements of other high-performance cores, such as graphics, for cutting-edge system-on-chip (SoC) designs.

It is prudent to explain the rationale behind ARM prioritising energy efficiency over all-out performance before delving into the architecture and exposing what makes the Cortex-A73 tick along.

Modern smartphones pose a significant design challenge for IP architects such as ARM. Devices are becoming thinner, displays are consuming more power, and other parts of the SoC are imposing a greater load on the power available from sleeker batteries.

Sustained performance is key

Given the limited scope of cooling in premium handsets, SoCs are running into thermal constraints and saturation more quickly, forcing, for example, the CPU to throttle down to lower speeds - up to 20 per cent in cases - in order to keep the handset temperature at reasonable levels. Such diminution of frequency, referred to as sustainable performance levels, isn't often reflected in specifications.

The premise of the Cortex-A73 is to do more than its immediate predecessor, Cortex-A72, but with significantly less energy consumption and die area, and both characteristics had a hand in shaping the architecture behind the new chip.

The above ARM-provided graphic compares the performance of the Cortex-A73 against the premier cores from 2015 and 2016. Those cores are shown on their native process and the frequency is determined by the amount of performance that is packed into a 750mW per-core power budget. This is chosen because it enables a modern SoC to run its CPU in a sustained state.

Basic analysis shows that previous ARM cores have been able to run at higher peak speeds but only at the expensive of breaching this power figure. The Cortex-A73, ARM contends, is able to run at near-peak speeds in a sustained manner. In other words, thermal frequency throttling should be at an absolute minimum unless the SoC manufacturer becomes rather too adventurous.

Comparing chips across processes and frequencies can be a means of obfuscating the true performance of a CPU. The above slides, taken on an iso-process and iso-frequency basis, meaning that benefits from either are stripped out enabling like-for-like comparisons - highlighting architecture, if you will - shows Cortex-A73 to be somewhat faster yet 20 per cent more energy efficient than the best Cortex-A-class processor in handsets today.